crcgen_test.py 10 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285
  1. #!/usr/bin/env python3
  2. #
  3. # Test of CRC generator.
  4. #
  5. # Copyright (C) 2019 Michael Buesch <m@bues.ch>
  6. #
  7. # Some CRC implementations are derived from AVR-libc.
  8. # These copyright notices apply to the AVR-libc parts:
  9. #
  10. # Copyright (c) 2002, 2003, 2004 Marek Michalkiewicz
  11. # Copyright (c) 2005, 2007 Joerg Wunsch
  12. # Copyright (c) 2013 Dave Hylands
  13. # Copyright (c) 2013 Frederic Nadeau
  14. # All rights reserved.
  15. #
  16. #
  17. # Redistribution and use in source and binary forms, with or without
  18. # modification, are permitted provided that the following conditions are met:
  19. #
  20. # * Redistributions of source code must retain the above copyright
  21. # notice, this list of conditions and the following disclaimer.
  22. #
  23. # * Redistributions in binary form must reproduce the above copyright
  24. # notice, this list of conditions and the following disclaimer in
  25. # the documentation and/or other materials provided with the
  26. # distribution.
  27. #
  28. # * Neither the name of the copyright holders nor the names of
  29. # contributors may be used to endorse or promote products derived
  30. # from this software without specific prior written permission.
  31. #
  32. # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
  33. # AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
  34. # IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
  35. # ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE
  36. # LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
  37. # CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
  38. # SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
  39. # INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
  40. # CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
  41. # ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
  42. # POSSIBILITY OF SUCH DAMAGE.
  43. #
  44. from crcgen import *
  45. from crcgen.reference import *
  46. from crcgen.util import *
  47. import random
  48. import multiprocessing
  49. # Derived from CRC-32 version 2.0.0 by Craig Bruce, 2006-04-29. (Public Domain):
  50. def crc32(crc, data):
  51. crcTable = (
  52. 0x00000000, 0x77073096, 0xEE0E612C, 0x990951BA, 0x076DC419, 0x706AF48F, 0xE963A535,
  53. 0x9E6495A3, 0x0EDB8832, 0x79DCB8A4, 0xE0D5E91E, 0x97D2D988, 0x09B64C2B, 0x7EB17CBD,
  54. 0xE7B82D07, 0x90BF1D91, 0x1DB71064, 0x6AB020F2, 0xF3B97148, 0x84BE41DE, 0x1ADAD47D,
  55. 0x6DDDE4EB, 0xF4D4B551, 0x83D385C7, 0x136C9856, 0x646BA8C0, 0xFD62F97A, 0x8A65C9EC,
  56. 0x14015C4F, 0x63066CD9, 0xFA0F3D63, 0x8D080DF5, 0x3B6E20C8, 0x4C69105E, 0xD56041E4,
  57. 0xA2677172, 0x3C03E4D1, 0x4B04D447, 0xD20D85FD, 0xA50AB56B, 0x35B5A8FA, 0x42B2986C,
  58. 0xDBBBC9D6, 0xACBCF940, 0x32D86CE3, 0x45DF5C75, 0xDCD60DCF, 0xABD13D59, 0x26D930AC,
  59. 0x51DE003A, 0xC8D75180, 0xBFD06116, 0x21B4F4B5, 0x56B3C423, 0xCFBA9599, 0xB8BDA50F,
  60. 0x2802B89E, 0x5F058808, 0xC60CD9B2, 0xB10BE924, 0x2F6F7C87, 0x58684C11, 0xC1611DAB,
  61. 0xB6662D3D, 0x76DC4190, 0x01DB7106, 0x98D220BC, 0xEFD5102A, 0x71B18589, 0x06B6B51F,
  62. 0x9FBFE4A5, 0xE8B8D433, 0x7807C9A2, 0x0F00F934, 0x9609A88E, 0xE10E9818, 0x7F6A0DBB,
  63. 0x086D3D2D, 0x91646C97, 0xE6635C01, 0x6B6B51F4, 0x1C6C6162, 0x856530D8, 0xF262004E,
  64. 0x6C0695ED, 0x1B01A57B, 0x8208F4C1, 0xF50FC457, 0x65B0D9C6, 0x12B7E950, 0x8BBEB8EA,
  65. 0xFCB9887C, 0x62DD1DDF, 0x15DA2D49, 0x8CD37CF3, 0xFBD44C65, 0x4DB26158, 0x3AB551CE,
  66. 0xA3BC0074, 0xD4BB30E2, 0x4ADFA541, 0x3DD895D7, 0xA4D1C46D, 0xD3D6F4FB, 0x4369E96A,
  67. 0x346ED9FC, 0xAD678846, 0xDA60B8D0, 0x44042D73, 0x33031DE5, 0xAA0A4C5F, 0xDD0D7CC9,
  68. 0x5005713C, 0x270241AA, 0xBE0B1010, 0xC90C2086, 0x5768B525, 0x206F85B3, 0xB966D409,
  69. 0xCE61E49F, 0x5EDEF90E, 0x29D9C998, 0xB0D09822, 0xC7D7A8B4, 0x59B33D17, 0x2EB40D81,
  70. 0xB7BD5C3B, 0xC0BA6CAD, 0xEDB88320, 0x9ABFB3B6, 0x03B6E20C, 0x74B1D29A, 0xEAD54739,
  71. 0x9DD277AF, 0x04DB2615, 0x73DC1683, 0xE3630B12, 0x94643B84, 0x0D6D6A3E, 0x7A6A5AA8,
  72. 0xE40ECF0B, 0x9309FF9D, 0x0A00AE27, 0x7D079EB1, 0xF00F9344, 0x8708A3D2, 0x1E01F268,
  73. 0x6906C2FE, 0xF762575D, 0x806567CB, 0x196C3671, 0x6E6B06E7, 0xFED41B76, 0x89D32BE0,
  74. 0x10DA7A5A, 0x67DD4ACC, 0xF9B9DF6F, 0x8EBEEFF9, 0x17B7BE43, 0x60B08ED5, 0xD6D6A3E8,
  75. 0xA1D1937E, 0x38D8C2C4, 0x4FDFF252, 0xD1BB67F1, 0xA6BC5767, 0x3FB506DD, 0x48B2364B,
  76. 0xD80D2BDA, 0xAF0A1B4C, 0x36034AF6, 0x41047A60, 0xDF60EFC3, 0xA867DF55, 0x316E8EEF,
  77. 0x4669BE79, 0xCB61B38C, 0xBC66831A, 0x256FD2A0, 0x5268E236, 0xCC0C7795, 0xBB0B4703,
  78. 0x220216B9, 0x5505262F, 0xC5BA3BBE, 0xB2BD0B28, 0x2BB45A92, 0x5CB36A04, 0xC2D7FFA7,
  79. 0xB5D0CF31, 0x2CD99E8B, 0x5BDEAE1D, 0x9B64C2B0, 0xEC63F226, 0x756AA39C, 0x026D930A,
  80. 0x9C0906A9, 0xEB0E363F, 0x72076785, 0x05005713, 0x95BF4A82, 0xE2B87A14, 0x7BB12BAE,
  81. 0x0CB61B38, 0x92D28E9B, 0xE5D5BE0D, 0x7CDCEFB7, 0x0BDBDF21, 0x86D3D2D4, 0xF1D4E242,
  82. 0x68DDB3F8, 0x1FDA836E, 0x81BE16CD, 0xF6B9265B, 0x6FB077E1, 0x18B74777, 0x88085AE6,
  83. 0xFF0F6A70, 0x66063BCA, 0x11010B5C, 0x8F659EFF, 0xF862AE69, 0x616BFFD3, 0x166CCF45,
  84. 0xA00AE278, 0xD70DD2EE, 0x4E048354, 0x3903B3C2, 0xA7672661, 0xD06016F7, 0x4969474D,
  85. 0x3E6E77DB, 0xAED16A4A, 0xD9D65ADC, 0x40DF0B66, 0x37D83BF0, 0xA9BCAE53, 0xDEBB9EC5,
  86. 0x47B2CF7F, 0x30B5FFE9, 0xBDBDF21C, 0xCABAC28A, 0x53B39330, 0x24B4A3A6, 0xBAD03605,
  87. 0xCDD70693, 0x54DE5729, 0x23D967BF, 0xB3667A2E, 0xC4614AB8, 0x5D681B02, 0x2A6F2B94,
  88. 0xB40BBE37, 0xC30C8EA1, 0x5A05DF1B, 0x2D02EF8D,
  89. )
  90. return (crc >> 8) ^ crcTable[(crc ^ data) & 0xFF]
  91. # Derived from AVR-libc:
  92. def crc16(crc, data):
  93. crc ^= data
  94. for i in range(8):
  95. if crc & 1:
  96. crc = (crc >> 1) ^ 0xA001
  97. else:
  98. crc = (crc >> 1)
  99. return crc
  100. # Derived from AVR-libc:
  101. def crc16_ccitt(crc, data):
  102. data ^= crc & 0xFF
  103. data = (data ^ (data << 4)) & 0xFF
  104. return ((((data << 8) & 0xFFFF) | (crc >> 8)) ^
  105. (data >> 4) ^
  106. ((data << 3) & 0xFFFF))
  107. def crc16_ccitt_reversed(crc, data):
  108. return bitreverse(crc16_ccitt(bitreverse(crc, 16),
  109. bitreverse(data, 8)),
  110. 16)
  111. # Derived from AVR-libc:
  112. def crc16_xmodem(crc, data):
  113. crc ^= (data << 8)
  114. for i in range(8):
  115. if crc & 0x8000:
  116. crc = ((crc << 1) ^ 0x1021) & 0xFFFF
  117. else:
  118. crc = (crc << 1) & 0xFFFF
  119. return crc
  120. # Derived from AVR-libc:
  121. def crc8_ibutton(crc, data):
  122. crc ^= data
  123. for i in range(8):
  124. if crc & 1:
  125. crc = (crc >> 1) ^ 0x8C
  126. else:
  127. crc = (crc >> 1)
  128. return crc
  129. # Derived from AVR-libc:
  130. def crc8_ccitt(crc, data):
  131. crc ^= data
  132. for i in range(8):
  133. if crc & 0x80:
  134. crc = ((crc << 1) ^ 0x07) & 0xFF
  135. else:
  136. crc = (crc << 1) & 0xFF
  137. return crc
  138. def crcRange(nrBits):
  139. rng = random.Random()
  140. rng.seed(42)
  141. mask = (1 << nrBits) - 1
  142. for i in range(0x300):
  143. if i == 0:
  144. crc = 0
  145. elif i == 1:
  146. crc = mask
  147. else:
  148. crc = rng.randint(1, mask - 1)
  149. yield crc
  150. def dataRange():
  151. yield from (0x00, 0xAA, 0x55, 0xFF,
  152. 0x3E, 0x92, 0x0A, 0x7D, 0x4E, 0x07, 0x23, 0xDD,
  153. 0x4C, 0xE4, 0x1E, 0x8B, 0x5C, 0xD8, 0x1F, 0x74)
  154. def compareReferenceImpl(name, crcFunc):
  155. print("Testing %s..." % name)
  156. crcParameters = CRC_PARAMETERS[name]
  157. for crc in crcRange(crcParameters["nrBits"]):
  158. for data in dataRange():
  159. a = crcFunc(crc, data)
  160. b = CrcReference.crc(crc, data,
  161. crcParameters["polynomial"],
  162. crcParameters["nrBits"],
  163. crcParameters["shiftRight"])
  164. if a != b:
  165. raise Exception("%s test FAILED!" % name)
  166. def checkReferenceReversed(nrBits, polynomial):
  167. print("Testing CrcReference reversed (nrBits=%d, P=%X)..." % (
  168. nrBits, polynomial))
  169. for shiftRight in (True, False):
  170. for crc in crcRange(nrBits):
  171. for data in dataRange():
  172. a = CrcReference.crc(
  173. crc,
  174. data,
  175. polynomial,
  176. nrBits,
  177. shiftRight)
  178. b = bitreverse(CrcReference.crc(
  179. bitreverse(crc, nrBits),
  180. bitreverse(data, 8),
  181. bitreverse(polynomial, nrBits),
  182. nrBits,
  183. not shiftRight),
  184. nrBits)
  185. if a != b:
  186. raise Exception("CrcReference reversed test "
  187. "FAILED! (nrBits=%d, P=%X)" % (
  188. nrBits, polynomial))
  189. def compareGeneratedImpl(optimize, alg, crcParameters):
  190. gen = CrcGen(P=crcParameters["polynomial"],
  191. nrBits=crcParameters["nrBits"],
  192. shiftRight=crcParameters["shiftRight"],
  193. optimize=optimize)
  194. gen.runTests(name=alg, extra=("-O=%d" % optimize))
  195. if __name__ == "__main__":
  196. assert bitreverse(0xE0, 8) == 0x07
  197. assert bitreverse(0x8408, 16) == 0x1021
  198. assert bitreverse(0xEDB88320, 32) == 0x04C11DB7
  199. print("*** Testing polynomial coefficient conversion ***")
  200. for poly, polyString, nrBits, shiftRight in (
  201. (0xC96C5795D7870F42,
  202. "x^64 + x^62 + x^57 + x^55 + x^54 + x^53 + x^52 + x^47 + "
  203. "x^46 + x^45 + x^40 + x^39 + x^38 + x^37 + x^35 + x^33 + "
  204. "x^32 + x^31 + x^29 + x^27 + x^24 + x^23 + x^22 + x^21 + "
  205. "x^19 + x^17 + x^13 + x^12 + x^10 + x^9 + x^7 + x^4 + x + 1",
  206. 64, True),
  207. (0xEDB88320,
  208. "x^32 + x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + "
  209. "x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x + 1",
  210. 32, True),
  211. (0xa001,
  212. "x^16 + x^15 + x^2 + 1",
  213. 16, True),
  214. (0x1021,
  215. "x^16 + x^12 + x^5 + 1",
  216. 16, False),
  217. (0x8408,
  218. "x^16 + x^12 + x^5 + 1",
  219. 16, True),
  220. (0x8C,
  221. "x^8 + x^5 + x^4 + 1",
  222. 8, True),
  223. (0xE0,
  224. "x^8 + x^2 + x + 1",
  225. 8, True),
  226. (0x07,
  227. "x^8 + x^2 + x + 1",
  228. 8, False),
  229. ):
  230. print("Testing %s..." % polyString)
  231. if poly2int(polyString, nrBits, shiftRight) != poly:
  232. raise Exception("Polynomial '%s' != 0x%X" % (polyString, poly))
  233. if int2poly(poly, nrBits, shiftRight) != polyString:
  234. raise Exception("Polynomial 0x%X != '%s'" % (poly, polyString))
  235. print("*** Comparing reference implementation to itself reversed ***")
  236. params = (
  237. (32, 0xEDB88320),
  238. (16, 0xA001),
  239. (16, 0x1021),
  240. (8, 0x07),
  241. (8, 0x8C),
  242. )
  243. with multiprocessing.Pool() as p:
  244. p.starmap(checkReferenceReversed, params)
  245. print("*** Comparing reference implementation to discrete implementations ***")
  246. params = (
  247. ("CRC-32", crc32),
  248. ("CRC-16", crc16),
  249. ("CRC-16-CCITT", crc16_ccitt_reversed),
  250. ("CRC-16-CCITT", crc16_xmodem),
  251. ("CRC-8-CCITT", crc8_ccitt),
  252. ("CRC-8-IBUTTON", crc8_ibutton),
  253. )
  254. with multiprocessing.Pool() as p:
  255. p.starmap(compareReferenceImpl, params)
  256. print("*** Comparing generated CRC functions to reference implementation ***")
  257. def makeParams():
  258. for optimize in (CrcGen.OPT_ALL,
  259. CrcGen.OPT_FLATTEN,
  260. CrcGen.OPT_ELIMINATE,
  261. CrcGen.OPT_NONE):
  262. for alg, crcParameters in CRC_PARAMETERS.items():
  263. yield optimize, alg, crcParameters
  264. with multiprocessing.Pool() as p:
  265. p.starmap(compareGeneratedImpl, tuple(makeParams()))