repl.vhd 466 B

1234567891011121314151617181920212223
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. use work.mem_pkg.all;
  5. use work.cache_pkg.all;
  6. entity repl is
  7. generic (
  8. WAYS : natural := WAYS
  9. );
  10. port (
  11. valid_in : in std_logic_vector(WAYS-1 downto 0);
  12. dirty_in : in std_logic_vector(WAYS-1 downto 0);
  13. replace_in : in std_logic_vector(WAYS-1 downto 0);
  14. replace_out : out std_logic_vector(WAYS-1 downto 0)
  15. );
  16. end entity;
  17. architecture impl of repl is
  18. begin
  19. end architecture;