runme.log 29 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571
  1. *** Running vivado
  2. with args -log basys3top.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source basys3top.tcl -notrace
  3. ECHO is off.
  4. ECHO is off.
  5. ****** Vivado v2023.1 (64-bit)
  6. **** SW Build 3865809 on Sun May 7 15:05:29 MDT 2023
  7. **** IP Build 3864474 on Sun May 7 20:36:21 MDT 2023
  8. **** SharedData Build 3865790 on Sun May 07 13:33:03 MDT 2023
  9. ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
  10. ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved.
  11. source basys3top.tcl -notrace
  12. Command: link_design -top basys3top -part xc7a35tcpg236-1
  13. Design is defaulting to srcset: sources_1
  14. Design is defaulting to constrset: constrs_1
  15. INFO: [Device 21-403] Loading part xc7a35tcpg236-1
  16. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 842.500 ; gain = 0.000
  17. INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement
  18. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  19. INFO: [Project 1-479] Netlist was created with Vivado 2023.1
  20. INFO: [Project 1-570] Preparing netlist for logic optimization
  21. Parsing XDC File [C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.srcs/constrs_1/new/basys3.xdc]
  22. Finished Parsing XDC File [C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.srcs/constrs_1/new/basys3.xdc]
  23. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  24. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 973.996 ; gain = 0.000
  25. INFO: [Project 1-111] Unisim Transformation Summary:
  26. No Unisim elements were transformed.
  27. 7 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
  28. link_design completed successfully
  29. Command: opt_design
  30. Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t'
  31. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t'
  32. Running DRC as a precondition to command opt_design
  33. Starting DRC Task
  34. INFO: [DRC 23-27] Running DRC with 2 threads
  35. INFO: [Project 1-461] DRC finished with 0 Errors
  36. INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
  37. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.788 . Memory (MB): peak = 996.988 ; gain = 18.988
  38. Starting Cache Timing Information Task
  39. INFO: [Timing 38-35] Done setting XDC timing constraints.
  40. Ending Cache Timing Information Task | Checksum: be8f9ab6
  41. Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 1511.156 ; gain = 514.168
  42. Starting Logic Optimization Task
  43. Phase 1 Retarget
  44. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  45. INFO: [Opt 31-49] Retargeted 0 cell(s).
  46. Phase 1 Retarget | Checksum: be8f9ab6
  47. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1853.645 ; gain = 0.000
  48. INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
  49. Phase 2 Constant propagation
  50. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  51. Phase 2 Constant propagation | Checksum: be8f9ab6
  52. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1853.645 ; gain = 0.000
  53. INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
  54. Phase 3 Sweep
  55. Phase 3 Sweep | Checksum: df2e2438
  56. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 1853.645 ; gain = 0.000
  57. INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
  58. Phase 4 BUFG optimization
  59. Phase 4 BUFG optimization | Checksum: df2e2438
  60. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.044 . Memory (MB): peak = 1853.645 ; gain = 0.000
  61. INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
  62. Phase 5 Shift Register Optimization
  63. INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
  64. Phase 5 Shift Register Optimization | Checksum: 1114c802e
  65. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.050 . Memory (MB): peak = 1853.645 ; gain = 0.000
  66. INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
  67. Phase 6 Post Processing Netlist
  68. Phase 6 Post Processing Netlist | Checksum: 1114c802e
  69. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.051 . Memory (MB): peak = 1853.645 ; gain = 0.000
  70. INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
  71. Opt_design Change Summary
  72. =========================
  73. -------------------------------------------------------------------------------------------------------------------------
  74. | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
  75. -------------------------------------------------------------------------------------------------------------------------
  76. | Retarget | 0 | 0 | 0 |
  77. | Constant propagation | 0 | 0 | 0 |
  78. | Sweep | 0 | 0 | 0 |
  79. | BUFG optimization | 0 | 0 | 0 |
  80. | Shift Register Optimization | 0 | 0 | 0 |
  81. | Post Processing Netlist | 0 | 0 | 0 |
  82. -------------------------------------------------------------------------------------------------------------------------
  83. Starting Connectivity Check Task
  84. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1853.645 ; gain = 0.000
  85. Ending Logic Optimization Task | Checksum: 1114c802e
  86. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.052 . Memory (MB): peak = 1853.645 ; gain = 0.000
  87. Starting Power Optimization Task
  88. INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
  89. Ending Power Optimization Task | Checksum: 1114c802e
  90. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 1853.645 ; gain = 0.000
  91. Starting Final Cleanup Task
  92. Ending Final Cleanup Task | Checksum: 1114c802e
  93. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1853.645 ; gain = 0.000
  94. Starting Netlist Obfuscation Task
  95. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1853.645 ; gain = 0.000
  96. Ending Netlist Obfuscation Task | Checksum: 1114c802e
  97. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1853.645 ; gain = 0.000
  98. INFO: [Common 17-83] Releasing license: Implementation
  99. 24 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
  100. opt_design completed successfully
  101. opt_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1853.645 ; gain = 875.645
  102. INFO: [runtcl-4] Executing : report_drc -file basys3top_drc_opted.rpt -pb basys3top_drc_opted.pb -rpx basys3top_drc_opted.rpx
  103. Command: report_drc -file basys3top_drc_opted.rpt -pb basys3top_drc_opted.pb -rpx basys3top_drc_opted.rpx
  104. INFO: [IP_Flow 19-234] Refreshing IP repositories
  105. INFO: [IP_Flow 19-1704] No user IP repositories specified
  106. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2023.1/data/ip'.
  107. INFO: [DRC 23-27] Running DRC with 2 threads
  108. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.runs/impl_1/basys3top_drc_opted.rpt.
  109. report_drc completed successfully
  110. INFO: [Timing 38-480] Writing timing data to binary archive.
  111. Writing XDEF routing.
  112. Writing XDEF routing logical nets.
  113. Writing XDEF routing special nets.
  114. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1853.645 ; gain = 0.000
  115. INFO: [Common 17-1381] The checkpoint 'C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.runs/impl_1/basys3top_opt.dcp' has been generated.
  116. Command: place_design
  117. Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t'
  118. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t'
  119. INFO: [DRC 23-27] Running DRC with 2 threads
  120. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  121. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  122. Running DRC as a precondition to command place_design
  123. INFO: [DRC 23-27] Running DRC with 2 threads
  124. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  125. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  126. Starting Placer Task
  127. INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs
  128. Phase 1 Placer Initialization
  129. Phase 1.1 Placer Initialization Netlist Sorting
  130. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1853.645 ; gain = 0.000
  131. Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 10e0a9a6d
  132. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1853.645 ; gain = 0.000
  133. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1853.645 ; gain = 0.000
  134. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
  135. INFO: [Timing 38-35] Done setting XDC timing constraints.
  136. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: fce2f43b
  137. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.157 . Memory (MB): peak = 1853.645 ; gain = 0.000
  138. Phase 1.3 Build Placer Netlist Model
  139. WARNING: [Place 30-2953] Timing driven mode will be turned off because no critical terminals were found.
  140. Phase 1.3 Build Placer Netlist Model | Checksum: 1b83f5412
  141. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.235 . Memory (MB): peak = 1853.645 ; gain = 0.000
  142. Phase 1.4 Constrain Clocks/Macros
  143. Phase 1.4 Constrain Clocks/Macros | Checksum: 1b83f5412
  144. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.237 . Memory (MB): peak = 1853.645 ; gain = 0.000
  145. Phase 1 Placer Initialization | Checksum: 1b83f5412
  146. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.240 . Memory (MB): peak = 1853.645 ; gain = 0.000
  147. Phase 2 Global Placement
  148. Phase 2.1 Floorplanning
  149. Phase 2.1 Floorplanning | Checksum: 1b83f5412
  150. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.247 . Memory (MB): peak = 1853.645 ; gain = 0.000
  151. Phase 2.2 Update Timing before SLR Path Opt
  152. Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1b83f5412
  153. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.247 . Memory (MB): peak = 1853.645 ; gain = 0.000
  154. Phase 2.3 Post-Processing in Floorplanning
  155. Phase 2.3 Post-Processing in Floorplanning | Checksum: 1b83f5412
  156. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.247 . Memory (MB): peak = 1853.645 ; gain = 0.000
  157. Phase 2.4 Global Placement Core
  158. WARNING: [Place 46-29] Timing had been disabled during Placer and, therefore, physical synthesis in Placer will be skipped.
  159. Phase 2.4 Global Placement Core | Checksum: 1c5fbef28
  160. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.622 . Memory (MB): peak = 1853.645 ; gain = 0.000
  161. Phase 2 Global Placement | Checksum: 1c5fbef28
  162. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.624 . Memory (MB): peak = 1853.645 ; gain = 0.000
  163. Phase 3 Detail Placement
  164. Phase 3.1 Commit Multi Column Macros
  165. Phase 3.1 Commit Multi Column Macros | Checksum: 1c5fbef28
  166. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.625 . Memory (MB): peak = 1853.645 ; gain = 0.000
  167. Phase 3.2 Commit Most Macros & LUTRAMs
  168. Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 14df374bb
  169. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.629 . Memory (MB): peak = 1853.645 ; gain = 0.000
  170. Phase 3.3 Area Swap Optimization
  171. Phase 3.3 Area Swap Optimization | Checksum: 1d3742cdf
  172. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.635 . Memory (MB): peak = 1853.645 ; gain = 0.000
  173. Phase 3.4 Pipeline Register Optimization
  174. Phase 3.4 Pipeline Register Optimization | Checksum: 1d3742cdf
  175. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.635 . Memory (MB): peak = 1853.645 ; gain = 0.000
  176. Phase 3.5 Small Shape Detail Placement
  177. Phase 3.5 Small Shape Detail Placement | Checksum: 26216978c
  178. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.702 . Memory (MB): peak = 1853.645 ; gain = 0.000
  179. Phase 3.6 Re-assign LUT pins
  180. Phase 3.6 Re-assign LUT pins | Checksum: 26216978c
  181. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.703 . Memory (MB): peak = 1853.645 ; gain = 0.000
  182. Phase 3.7 Pipeline Register Optimization
  183. Phase 3.7 Pipeline Register Optimization | Checksum: 26216978c
  184. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.704 . Memory (MB): peak = 1853.645 ; gain = 0.000
  185. Phase 3 Detail Placement | Checksum: 26216978c
  186. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.704 . Memory (MB): peak = 1853.645 ; gain = 0.000
  187. Phase 4 Post Placement Optimization and Clean-Up
  188. Phase 4.1 Post Commit Optimization
  189. Phase 4.1 Post Commit Optimization | Checksum: 26216978c
  190. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.731 . Memory (MB): peak = 1853.645 ; gain = 0.000
  191. Phase 4.2 Post Placement Cleanup
  192. Phase 4.2 Post Placement Cleanup | Checksum: 26216978c
  193. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.732 . Memory (MB): peak = 1853.645 ; gain = 0.000
  194. Phase 4.3 Placer Reporting
  195. Phase 4.3.1 Print Estimated Congestion
  196. INFO: [Place 30-612] Post-Placement Estimated Congestion
  197. ____________________________________________________
  198. | | Global Congestion | Short Congestion |
  199. | Direction | Region Size | Region Size |
  200. |___________|___________________|___________________|
  201. | North| 1x1| 1x1|
  202. |___________|___________________|___________________|
  203. | South| 1x1| 1x1|
  204. |___________|___________________|___________________|
  205. | East| 1x1| 1x1|
  206. |___________|___________________|___________________|
  207. | West| 1x1| 1x1|
  208. |___________|___________________|___________________|
  209. Phase 4.3.1 Print Estimated Congestion | Checksum: 26216978c
  210. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.733 . Memory (MB): peak = 1853.645 ; gain = 0.000
  211. Phase 4.3 Placer Reporting | Checksum: 26216978c
  212. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.733 . Memory (MB): peak = 1853.645 ; gain = 0.000
  213. Phase 4.4 Final Placement Cleanup
  214. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1853.645 ; gain = 0.000
  215. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.734 . Memory (MB): peak = 1853.645 ; gain = 0.000
  216. Phase 4 Post Placement Optimization and Clean-Up | Checksum: 26216978c
  217. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.734 . Memory (MB): peak = 1853.645 ; gain = 0.000
  218. Ending Placer Task | Checksum: 16d7f79d4
  219. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.734 . Memory (MB): peak = 1853.645 ; gain = 0.000
  220. INFO: [Common 17-83] Releasing license: Implementation
  221. 43 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
  222. place_design completed successfully
  223. INFO: [runtcl-4] Executing : report_io -file basys3top_io_placed.rpt
  224. report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.025 . Memory (MB): peak = 1853.645 ; gain = 0.000
  225. INFO: [runtcl-4] Executing : report_utilization -file basys3top_utilization_placed.rpt -pb basys3top_utilization_placed.pb
  226. INFO: [runtcl-4] Executing : report_control_sets -verbose -file basys3top_control_sets_placed.rpt
  227. report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1853.645 ; gain = 0.000
  228. INFO: [Timing 38-480] Writing timing data to binary archive.
  229. Writing XDEF routing.
  230. Writing XDEF routing logical nets.
  231. Writing XDEF routing special nets.
  232. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1853.645 ; gain = 0.000
  233. INFO: [Common 17-1381] The checkpoint 'C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.runs/impl_1/basys3top_placed.dcp' has been generated.
  234. Command: phys_opt_design
  235. Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t'
  236. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t'
  237. Starting Initial Update Timing Task
  238. INFO: [Timing 38-35] Done setting XDC timing constraints.
  239. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1853.645 ; gain = 0.000
  240. INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. Skipping all physical synthesis optimizations.
  241. INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified.
  242. INFO: [Common 17-83] Releasing license: Implementation
  243. 53 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
  244. phys_opt_design completed successfully
  245. INFO: [Timing 38-480] Writing timing data to binary archive.
  246. Writing XDEF routing.
  247. Writing XDEF routing logical nets.
  248. Writing XDEF routing special nets.
  249. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.021 . Memory (MB): peak = 1864.074 ; gain = 10.430
  250. INFO: [Common 17-1381] The checkpoint 'C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.runs/impl_1/basys3top_physopt.dcp' has been generated.
  251. Command: route_design
  252. Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t'
  253. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t'
  254. Running DRC as a precondition to command route_design
  255. INFO: [DRC 23-27] Running DRC with 2 threads
  256. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  257. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  258. Starting Routing Task
  259. INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
  260. Phase 1 Build RT Design
  261. Checksum: PlaceDB: 7548f48e ConstDB: 0 ShapeSum: f8368546 RouteDB: 0
  262. Post Restoration Checksum: NetGraph: a029b6ca | NumContArr: bbf5f8cc | Constraints: 190a55ad | Timing: 0
  263. Phase 1 Build RT Design | Checksum: 1752a0543
  264. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1946.852 ; gain = 69.730
  265. Phase 2 Router Initialization
  266. Phase 2.1 Fix Topology Constraints
  267. Phase 2.1 Fix Topology Constraints | Checksum: 1752a0543
  268. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1946.852 ; gain = 69.730
  269. Phase 2.2 Pre Route Cleanup
  270. Phase 2.2 Pre Route Cleanup | Checksum: 1752a0543
  271. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1946.852 ; gain = 69.730
  272. Number of Nodes with overlaps = 0
  273. Phase 2.3 Update Timing
  274. Phase 2.3 Update Timing | Checksum: 211839185
  275. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.641 ; gain = 73.520
  276. INFO: [Route 35-416] Intermediate Timing Summary | WNS=7.990 | TNS=0.000 | WHS=-0.015 | THS=-0.079 |
  277. Router Utilization Summary
  278. Global Vertical Routing Utilization = 0 %
  279. Global Horizontal Routing Utilization = 0 %
  280. Routable Net Status*
  281. *Does not include unroutable nets such as driverless and loadless.
  282. Run report_route_status for detailed report.
  283. Number of Failed Nets = 24
  284. (Failed Nets is the sum of unrouted and partially routed nets)
  285. Number of Unrouted Nets = 24
  286. Number of Partially Routed Nets = 0
  287. Number of Node Overlaps = 0
  288. Phase 2 Router Initialization | Checksum: 2300fed4b
  289. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  290. Phase 3 Initial Routing
  291. Phase 3.1 Global Routing
  292. Phase 3.1 Global Routing | Checksum: 2300fed4b
  293. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  294. Phase 3 Initial Routing | Checksum: 133732278
  295. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  296. Phase 4 Rip-up And Reroute
  297. Phase 4.1 Global Iteration 0
  298. Number of Nodes with overlaps = 0
  299. INFO: [Route 35-416] Intermediate Timing Summary | WNS=7.715 | TNS=0.000 | WHS=N/A | THS=N/A |
  300. Phase 4.1 Global Iteration 0 | Checksum: fe941326
  301. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  302. Phase 4 Rip-up And Reroute | Checksum: fe941326
  303. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  304. Phase 5 Delay and Skew Optimization
  305. Phase 5.1 Delay CleanUp
  306. Phase 5.1 Delay CleanUp | Checksum: fe941326
  307. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  308. Phase 5.2 Clock Skew Optimization
  309. Phase 5.2 Clock Skew Optimization | Checksum: fe941326
  310. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  311. Phase 5 Delay and Skew Optimization | Checksum: fe941326
  312. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  313. Phase 6 Post Hold Fix
  314. Phase 6.1 Hold Fix Iter
  315. Phase 6.1.1 Update Timing
  316. Phase 6.1.1 Update Timing | Checksum: 14a1c9c7d
  317. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  318. INFO: [Route 35-416] Intermediate Timing Summary | WNS=7.808 | TNS=0.000 | WHS=0.238 | THS=0.000 |
  319. Phase 6.1 Hold Fix Iter | Checksum: 14a1c9c7d
  320. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  321. Phase 6 Post Hold Fix | Checksum: 14a1c9c7d
  322. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  323. Phase 7 Route finalize
  324. Router Utilization Summary
  325. Global Vertical Routing Utilization = 0.00390656 %
  326. Global Horizontal Routing Utilization = 0.00351379 %
  327. Routable Net Status*
  328. *Does not include unroutable nets such as driverless and loadless.
  329. Run report_route_status for detailed report.
  330. Number of Failed Nets = 0
  331. (Failed Nets is the sum of unrouted and partially routed nets)
  332. Number of Unrouted Nets = 0
  333. Number of Partially Routed Nets = 0
  334. Number of Node Overlaps = 0
  335. Phase 7 Route finalize | Checksum: 14a1c9c7d
  336. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1950.703 ; gain = 73.582
  337. Phase 8 Verifying routed nets
  338. Verification completed successfully
  339. Phase 8 Verifying routed nets | Checksum: 14a1c9c7d
  340. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1951.395 ; gain = 74.273
  341. Phase 9 Depositing Routes
  342. Phase 9 Depositing Routes | Checksum: 182cb4b3e
  343. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1951.395 ; gain = 74.273
  344. Phase 10 Post Router Timing
  345. INFO: [Route 35-57] Estimated Timing Summary | WNS=7.808 | TNS=0.000 | WHS=0.238 | THS=0.000 |
  346. INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
  347. Phase 10 Post Router Timing | Checksum: 182cb4b3e
  348. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1951.395 ; gain = 74.273
  349. INFO: [Route 35-16] Router Completed Successfully
  350. Phase 11 Post-Route Event Processing
  351. Phase 11 Post-Route Event Processing | Checksum: 1aa023b93
  352. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1951.395 ; gain = 74.273
  353. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1951.395 ; gain = 74.273
  354. Routing Is Done.
  355. INFO: [Common 17-83] Releasing license: Implementation
  356. 67 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
  357. route_design completed successfully
  358. route_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1951.395 ; gain = 87.320
  359. INFO: [runtcl-4] Executing : report_drc -file basys3top_drc_routed.rpt -pb basys3top_drc_routed.pb -rpx basys3top_drc_routed.rpx
  360. Command: report_drc -file basys3top_drc_routed.rpt -pb basys3top_drc_routed.pb -rpx basys3top_drc_routed.rpx
  361. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  362. INFO: [DRC 23-27] Running DRC with 2 threads
  363. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.runs/impl_1/basys3top_drc_routed.rpt.
  364. report_drc completed successfully
  365. INFO: [runtcl-4] Executing : report_methodology -file basys3top_methodology_drc_routed.rpt -pb basys3top_methodology_drc_routed.pb -rpx basys3top_methodology_drc_routed.rpx
  366. Command: report_methodology -file basys3top_methodology_drc_routed.rpt -pb basys3top_methodology_drc_routed.pb -rpx basys3top_methodology_drc_routed.rpx
  367. INFO: [Timing 38-35] Done setting XDC timing constraints.
  368. INFO: [DRC 23-133] Running Methodology with 2 threads
  369. INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.runs/impl_1/basys3top_methodology_drc_routed.rpt.
  370. report_methodology completed successfully
  371. INFO: [runtcl-4] Executing : report_power -file basys3top_power_routed.rpt -pb basys3top_power_summary_routed.pb -rpx basys3top_power_routed.rpx
  372. Command: report_power -file basys3top_power_routed.rpt -pb basys3top_power_summary_routed.pb -rpx basys3top_power_routed.rpx
  373. INFO: [Timing 38-35] Done setting XDC timing constraints.
  374. Running Vector-less Activity Propagation...
  375. Finished Running Vector-less Activity Propagation
  376. 77 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
  377. report_power completed successfully
  378. INFO: [runtcl-4] Executing : report_route_status -file basys3top_route_status.rpt -pb basys3top_route_status.pb
  379. INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file basys3top_timing_summary_routed.rpt -pb basys3top_timing_summary_routed.pb -rpx basys3top_timing_summary_routed.rpx -warn_on_violation
  380. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  381. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  382. INFO: [runtcl-4] Executing : report_incremental_reuse -file basys3top_incremental_reuse_routed.rpt
  383. INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
  384. INFO: [runtcl-4] Executing : report_clock_utilization -file basys3top_clock_utilization_routed.rpt
  385. INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file basys3top_bus_skew_routed.rpt -pb basys3top_bus_skew_routed.pb -rpx basys3top_bus_skew_routed.rpx
  386. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  387. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  388. INFO: [Timing 38-480] Writing timing data to binary archive.
  389. Writing XDEF routing.
  390. Writing XDEF routing logical nets.
  391. Writing XDEF routing special nets.
  392. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1990.594 ; gain = 0.000
  393. INFO: [Common 17-1381] The checkpoint 'C:/Users/misspapaya/projects/cpu32/riscv/riscv_rtl/riscv_rtl.runs/impl_1/basys3top_routed.dcp' has been generated.
  394. Command: write_bitstream -force basys3top.bit -bin_file
  395. Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t'
  396. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t'
  397. Running DRC as a precondition to command write_bitstream
  398. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  399. INFO: [DRC 23-27] Running DRC with 2 threads
  400. WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
  401. set_property CFGBVS value1 [current_design]
  402. #where value1 is either VCCO or GND
  403. set_property CONFIG_VOLTAGE value2 [current_design]
  404. #where value2 is the voltage provided to configuration bank 0
  405. Refer to the device configuration user guide for more information.
  406. INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings
  407. INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
  408. INFO: [Designutils 20-2272] Running write_bitstream with 2 threads.
  409. Loading data files...
  410. Loading site data...
  411. Loading route data...
  412. Processing options...
  413. Creating bitmap...
  414. Creating bitstream...
  415. Bitstream compression saved 15936096 bits.
  416. Writing bitstream ./basys3top.bit...
  417. Writing bitstream ./basys3top.bin...
  418. INFO: [Vivado 12-1842] Bitgen Completed Successfully.
  419. INFO: [Project 1-1876] WebTalk data collection is mandatory when using a ULT device. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory.
  420. INFO: [Common 17-83] Releasing license: Implementation
  421. 14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
  422. write_bitstream completed successfully
  423. write_bitstream: Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 2488.621 ; gain = 498.027
  424. INFO: [Common 17-206] Exiting Vivado at Thu Jun 8 10:54:28 2023...