123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206 |
- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved.
- ---------------------------------------------------------------------------------------------------------------------------------------------
- | Tool Version : Vivado v.2023.1 (win64) Build 3865809 Sun May 7 15:05:29 MDT 2023
- | Date : Thu Jun 8 10:54:06 2023
- | Host : DESKTOP-5QEHRRG running 64-bit major release (build 9200)
- | Command : report_utilization -file basys3top_utilization_placed.rpt -pb basys3top_utilization_placed.pb
- | Design : basys3top
- | Device : xc7a35tcpg236-1
- | Speed File : -1
- | Design State : Fully Placed
- ---------------------------------------------------------------------------------------------------------------------------------------------
- Utilization Design Information
- Table of Contents
- -----------------
- 1. Slice Logic
- 1.1 Summary of Registers by Type
- 2. Slice Logic Distribution
- 3. Memory
- 4. DSP
- 5. IO and GT Specific
- 6. Clocking
- 7. Specific Feature
- 8. Primitives
- 9. Black Boxes
- 10. Instantiated Netlists
- 1. Slice Logic
- --------------
- +-------------------------+------+-------+------------+-----------+-------+
- | Site Type | Used | Fixed | Prohibited | Available | Util% |
- +-------------------------+------+-------+------------+-----------+-------+
- | Slice LUTs | 5 | 0 | 0 | 20800 | 0.02 |
- | LUT as Logic | 5 | 0 | 0 | 20800 | 0.02 |
- | LUT as Memory | 0 | 0 | 0 | 9600 | 0.00 |
- | Slice Registers | 13 | 0 | 0 | 41600 | 0.03 |
- | Register as Flip Flop | 13 | 0 | 0 | 41600 | 0.03 |
- | Register as Latch | 0 | 0 | 0 | 41600 | 0.00 |
- | F7 Muxes | 0 | 0 | 0 | 16300 | 0.00 |
- | F8 Muxes | 0 | 0 | 0 | 8150 | 0.00 |
- +-------------------------+------+-------+------------+-----------+-------+
- * Warning! LUT value is adjusted to account for LUT combining.
- 1.1 Summary of Registers by Type
- --------------------------------
- +-------+--------------+-------------+--------------+
- | Total | Clock Enable | Synchronous | Asynchronous |
- +-------+--------------+-------------+--------------+
- | 0 | _ | - | - |
- | 0 | _ | - | Set |
- | 0 | _ | - | Reset |
- | 0 | _ | Set | - |
- | 0 | _ | Reset | - |
- | 0 | Yes | - | - |
- | 0 | Yes | - | Set |
- | 0 | Yes | - | Reset |
- | 0 | Yes | Set | - |
- | 13 | Yes | Reset | - |
- +-------+--------------+-------------+--------------+
- 2. Slice Logic Distribution
- ---------------------------
- +------------------------------------------+------+-------+------------+-----------+-------+
- | Site Type | Used | Fixed | Prohibited | Available | Util% |
- +------------------------------------------+------+-------+------------+-----------+-------+
- | Slice | 6 | 0 | 0 | 8150 | 0.07 |
- | SLICEL | 5 | 0 | | | |
- | SLICEM | 1 | 0 | | | |
- | LUT as Logic | 5 | 0 | 0 | 20800 | 0.02 |
- | using O5 output only | 0 | | | | |
- | using O6 output only | 1 | | | | |
- | using O5 and O6 | 4 | | | | |
- | LUT as Memory | 0 | 0 | 0 | 9600 | 0.00 |
- | LUT as Distributed RAM | 0 | 0 | | | |
- | LUT as Shift Register | 0 | 0 | | | |
- | Slice Registers | 13 | 0 | 0 | 41600 | 0.03 |
- | Register driven from within the Slice | 13 | | | | |
- | Register driven from outside the Slice | 0 | | | | |
- | Unique Control Sets | 1 | | 0 | 8150 | 0.01 |
- +------------------------------------------+------+-------+------------+-----------+-------+
- * * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets.
- 3. Memory
- ---------
- +----------------+------+-------+------------+-----------+-------+
- | Site Type | Used | Fixed | Prohibited | Available | Util% |
- +----------------+------+-------+------------+-----------+-------+
- | Block RAM Tile | 0 | 0 | 0 | 50 | 0.00 |
- | RAMB36/FIFO* | 0 | 0 | 0 | 50 | 0.00 |
- | RAMB18 | 0 | 0 | 0 | 100 | 0.00 |
- +----------------+------+-------+------------+-----------+-------+
- * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
- 4. DSP
- ------
- +-----------+------+-------+------------+-----------+-------+
- | Site Type | Used | Fixed | Prohibited | Available | Util% |
- +-----------+------+-------+------------+-----------+-------+
- | DSPs | 0 | 0 | 0 | 90 | 0.00 |
- +-----------+------+-------+------------+-----------+-------+
- 5. IO and GT Specific
- ---------------------
- +-----------------------------+------+-------+------------+-----------+-------+
- | Site Type | Used | Fixed | Prohibited | Available | Util% |
- +-----------------------------+------+-------+------------+-----------+-------+
- | Bonded IOB | 13 | 13 | 0 | 106 | 12.26 |
- | IOB Master Pads | 6 | | | | |
- | IOB Slave Pads | 7 | | | | |
- | Bonded IPADs | 0 | 0 | 0 | 10 | 0.00 |
- | Bonded OPADs | 0 | 0 | 0 | 4 | 0.00 |
- | PHY_CONTROL | 0 | 0 | 0 | 5 | 0.00 |
- | PHASER_REF | 0 | 0 | 0 | 5 | 0.00 |
- | OUT_FIFO | 0 | 0 | 0 | 20 | 0.00 |
- | IN_FIFO | 0 | 0 | 0 | 20 | 0.00 |
- | IDELAYCTRL | 0 | 0 | 0 | 5 | 0.00 |
- | IBUFDS | 0 | 0 | 0 | 104 | 0.00 |
- | GTPE2_CHANNEL | 0 | 0 | 0 | 2 | 0.00 |
- | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 20 | 0.00 |
- | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 20 | 0.00 |
- | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 250 | 0.00 |
- | IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 |
- | ILOGIC | 0 | 0 | 0 | 106 | 0.00 |
- | OLOGIC | 0 | 0 | 0 | 106 | 0.00 |
- +-----------------------------+------+-------+------------+-----------+-------+
- 6. Clocking
- -----------
- +------------+------+-------+------------+-----------+-------+
- | Site Type | Used | Fixed | Prohibited | Available | Util% |
- +------------+------+-------+------------+-----------+-------+
- | BUFGCTRL | 1 | 0 | 0 | 32 | 3.13 |
- | BUFIO | 0 | 0 | 0 | 20 | 0.00 |
- | MMCME2_ADV | 0 | 0 | 0 | 5 | 0.00 |
- | PLLE2_ADV | 0 | 0 | 0 | 5 | 0.00 |
- | BUFMRCE | 0 | 0 | 0 | 10 | 0.00 |
- | BUFHCE | 0 | 0 | 0 | 72 | 0.00 |
- | BUFR | 0 | 0 | 0 | 20 | 0.00 |
- +------------+------+-------+------------+-----------+-------+
- 7. Specific Feature
- -------------------
- +-------------+------+-------+------------+-----------+-------+
- | Site Type | Used | Fixed | Prohibited | Available | Util% |
- +-------------+------+-------+------------+-----------+-------+
- | BSCANE2 | 0 | 0 | 0 | 4 | 0.00 |
- | CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 |
- | DNA_PORT | 0 | 0 | 0 | 1 | 0.00 |
- | EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 |
- | FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 |
- | ICAPE2 | 0 | 0 | 0 | 2 | 0.00 |
- | PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 |
- | STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 |
- | XADC | 0 | 0 | 0 | 1 | 0.00 |
- +-------------+------+-------+------------+-----------+-------+
- 8. Primitives
- -------------
- +----------+------+---------------------+
- | Ref Name | Used | Functional Category |
- +----------+------+---------------------+
- | FDRE | 13 | Flop & Latch |
- | OBUF | 12 | IO |
- | LUT3 | 6 | LUT |
- | CARRY4 | 4 | CarryLogic |
- | LUT1 | 3 | LUT |
- | IBUF | 1 | IO |
- | BUFG | 1 | Clock |
- +----------+------+---------------------+
- 9. Black Boxes
- --------------
- +----------+------+
- | Ref Name | Used |
- +----------+------+
- 10. Instantiated Netlists
- -------------------------
- +----------+------+
- | Ref Name | Used |
- +----------+------+
|