123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136 |
- <?xml version="1.0" encoding="UTF-8"?>
- <GenRun Id="impl_1" LaunchPart="xc7a35tcpg236-1" LaunchTime="1686246781">
- <File Type="ROUTE-PWR" Name="basys3top_power_routed.rpt"/>
- <File Type="PA-TCL" Name="basys3top.tcl"/>
- <File Type="ROUTE-PWR-SUM" Name="basys3top_power_summary_routed.pb"/>
- <File Type="REPORTS-TCL" Name="basys3top_reports.tcl"/>
- <File Type="BITSTR-MSK" Name="basys3top.msk"/>
- <File Type="ROUTE-CLK" Name="basys3top_clock_utilization_routed.rpt"/>
- <File Type="RDI-RDI" Name="basys3top.vdi"/>
- <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="basys3top_bus_skew_postroute_physopted.pb"/>
- <File Type="BG-BIT" Name="basys3top.bit"/>
- <File Type="BG-DRC" Name="basys3top.drc"/>
- <File Type="BG-BGN" Name="basys3top.bgn"/>
- <File Type="BG-BIN" Name="basys3top.bin"/>
- <File Type="BITSTR-RBT" Name="basys3top.rbt"/>
- <File Type="BITSTR-NKY" Name="basys3top.nky"/>
- <File Type="BITSTR-BMM" Name="basys3top_bd.bmm"/>
- <File Type="BITSTR-MMI" Name="basys3top.mmi"/>
- <File Type="ROUTE-METHODOLOGY-DRC" Name="basys3top_methodology_drc_routed.rpt"/>
- <File Type="BITSTR-SYSDEF" Name="basys3top.sysdef"/>
- <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
- <File Type="BITSTR-LTX" Name="basys3top.ltx"/>
- <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
- <File Type="OPT-DRC" Name="basys3top_drc_opted.rpt"/>
- <File Type="OPT-HWDEF" Name="basys3top.hwdef"/>
- <File Type="PLACE-IO" Name="basys3top_io_placed.rpt"/>
- <File Type="PLACE-UTIL" Name="basys3top_utilization_placed.rpt"/>
- <File Type="PLACE-UTIL-PB" Name="basys3top_utilization_placed.pb"/>
- <File Type="PLACE-CTRL" Name="basys3top_control_sets_placed.rpt"/>
- <File Type="PLACE-PRE-SIMILARITY" Name="basys3top_incremental_reuse_pre_placed.rpt"/>
- <File Type="ROUTE-DRC" Name="basys3top_drc_routed.rpt"/>
- <File Type="ROUTE-DRC-PB" Name="basys3top_drc_routed.pb"/>
- <File Type="ROUTE-PWR-RPX" Name="basys3top_power_routed.rpx"/>
- <File Type="ROUTE-STATUS" Name="basys3top_route_status.rpt"/>
- <File Type="ROUTE-STATUS-PB" Name="basys3top_route_status.pb"/>
- <File Type="ROUTE-DCP" Name="basys3top_routed.dcp"/>
- <File Type="ROUTE-ERROR-DCP" Name="basys3top_routed_error.dcp"/>
- <File Type="ROUTE-BLACKBOX-DCP" Name="basys3top_routed_bb.dcp"/>
- <File Type="ROUTE-TIMINGSUMMARY" Name="basys3top_timing_summary_routed.rpt"/>
- <File Type="ROUTE-TIMING-PB" Name="basys3top_timing_summary_routed.pb"/>
- <File Type="ROUTE-TIMING-RPX" Name="basys3top_timing_summary_routed.rpx"/>
- <File Type="ROUTE-SIMILARITY" Name="basys3top_incremental_reuse_routed.rpt"/>
- <File Type="ROUTE-BUS-SKEW" Name="basys3top_bus_skew_routed.rpt"/>
- <File Type="ROUTE-BUS-SKEW-PB" Name="basys3top_bus_skew_routed.pb"/>
- <File Type="ROUTE-BUS-SKEW-RPX" Name="basys3top_bus_skew_routed.rpx"/>
- <File Type="OPT-DCP" Name="basys3top_opt.dcp"/>
- <File Type="PLACE-DCP" Name="basys3top_placed.dcp"/>
- <File Type="PWROPT-DCP" Name="basys3top_pwropt.dcp"/>
- <File Type="POSTPLACE-PWROPT-DCP" Name="basys3top_postplace_pwropt.dcp"/>
- <File Type="PHYSOPT-DCP" Name="basys3top_physopt.dcp"/>
- <File Type="POSTROUTE-PHYSOPT-DCP" Name="basys3top_postroute_physopt.dcp"/>
- <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="basys3top_postroute_physopt_bb.dcp"/>
- <File Type="POSTROUTE-PHYSOPT-TIMING" Name="basys3top_timing_summary_postroute_physopted.rpt"/>
- <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="basys3top_timing_summary_postroute_physopted.pb"/>
- <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="basys3top_timing_summary_postroute_physopted.rpx"/>
- <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="basys3top_bus_skew_postroute_physopted.rpt"/>
- <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="basys3top_bus_skew_postroute_physopted.rpx"/>
- <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="basys3top_methodology_drc_routed.pb"/>
- <File Type="ROUTE-DRC-RPX" Name="basys3top_drc_routed.rpx"/>
- <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="basys3top_methodology_drc_routed.rpx"/>
- <File Type="PDI-FILE" Name="basys3top.pdi"/>
- <File Type="BOOT-PDI-FILE" Name="basys3top_soc.pdi"/>
- <File Type="PL-PDI-FILE" Name="basys3top_pld.pdi"/>
- <File Type="RCFI_FILE" Name="basys3top.rcfi"/>
- <File Type="CFI_FILE" Name="basys3top.cfi"/>
- <File Type="RNPI_FILE" Name="basys3top.rnpi"/>
- <File Type="NPI_FILE" Name="basys3top.npi"/>
- <File Type="RBD_FILE" Name="basys3top.rbd"/>
- <File Type="ROUTE-RQS" Name="basys3top_routed.rqs"/>
- <File Type="POSTROUTE-PHYSOPT-RQS" Name="basys3top_postroute_physopted.rqs"/>
- <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/>
- <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/>
- <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
- <Filter Type="Srcs"/>
- <File Path="$PSRCDIR/sources_1/new/seven_seg.vhd">
- <FileInfo>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <File Path="$PSRCDIR/sources_1/new/basys3top.vhd">
- <FileInfo>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="simulation"/>
- </FileInfo>
- </File>
- <Config>
- <Option Name="DesignMode" Val="RTL"/>
- <Option Name="TopModule" Val="basys3top"/>
- <Option Name="TopAutoSet" Val="TRUE"/>
- </Config>
- </FileSet>
- <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
- <Filter Type="Constrs"/>
- <File Path="$PSRCDIR/constrs_1/new/basys3.xdc">
- <FileInfo>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="implementation"/>
- </FileInfo>
- </File>
- <Config>
- <Option Name="TargetConstrsFile" Val="$PSRCDIR/constrs_1/new/basys3.xdc"/>
- <Option Name="ConstrsType" Val="XDC"/>
- </Config>
- </FileSet>
- <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
- <Filter Type="Utils"/>
- <File Path="$PSRCDIR/utils_1/imports/synth_1/basys3top.dcp">
- <FileInfo>
- <Attr Name="UsedIn" Val="synthesis"/>
- <Attr Name="UsedIn" Val="implementation"/>
- <Attr Name="UsedInSteps" Val="synth_1"/>
- <Attr Name="AutoDcp" Val="1"/>
- </FileInfo>
- </File>
- <Config>
- <Option Name="TopAutoSet" Val="TRUE"/>
- </Config>
- </FileSet>
- <Strategy Version="1" Minor="2">
- <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2023"/>
- <Step Id="init_design"/>
- <Step Id="opt_design"/>
- <Step Id="power_opt_design"/>
- <Step Id="place_design"/>
- <Step Id="post_place_power_opt_design"/>
- <Step Id="phys_opt_design"/>
- <Step Id="route_design"/>
- <Step Id="post_route_phys_opt_design"/>
- <Step Id="write_bitstream">
- <Option Id="BinFile">1</Option>
- </Step>
- <Step Id="write_device_image"/>
- </Strategy>
- </GenRun>
|