crcgen_test.py 13 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379
  1. #!/usr/bin/env python3
  2. #
  3. # Test of CRC generator.
  4. #
  5. # Copyright (C) 2020-2023 Michael Büsch <m@bues.ch>
  6. #
  7. # Some CRC implementations are derived from AVR-libc.
  8. # These copyright notices apply to the AVR-libc parts:
  9. #
  10. # Copyright (c) 2002, 2003, 2004 Marek Michalkiewicz
  11. # Copyright (c) 2005, 2007 Joerg Wunsch
  12. # Copyright (c) 2013 Dave Hylands
  13. # Copyright (c) 2013 Frederic Nadeau
  14. # All rights reserved.
  15. #
  16. #
  17. # Redistribution and use in source and binary forms, with or without
  18. # modification, are permitted provided that the following conditions are met:
  19. #
  20. # * Redistributions of source code must retain the above copyright
  21. # notice, this list of conditions and the following disclaimer.
  22. #
  23. # * Redistributions in binary form must reproduce the above copyright
  24. # notice, this list of conditions and the following disclaimer in
  25. # the documentation and/or other materials provided with the
  26. # distribution.
  27. #
  28. # * Neither the name of the copyright holders nor the names of
  29. # contributors may be used to endorse or promote products derived
  30. # from this software without specific prior written permission.
  31. #
  32. # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
  33. # AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
  34. # IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
  35. # ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE
  36. # LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
  37. # CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
  38. # SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
  39. # INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
  40. # CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
  41. # ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
  42. # POSSIBILITY OF SUCH DAMAGE.
  43. #
  44. from libcrcgen import *
  45. from libcrcgen.generator_test import *
  46. from libcrcgen.reference import *
  47. from libcrcgen.util import *
  48. import multiprocessing
  49. import random
  50. # Derived from CRC-32 version 2.0.0 by Craig Bruce, 2006-04-29. (Public Domain):
  51. def crc32(crc, data):
  52. crcTable = (
  53. 0x00000000, 0x77073096, 0xEE0E612C, 0x990951BA, 0x076DC419, 0x706AF48F, 0xE963A535,
  54. 0x9E6495A3, 0x0EDB8832, 0x79DCB8A4, 0xE0D5E91E, 0x97D2D988, 0x09B64C2B, 0x7EB17CBD,
  55. 0xE7B82D07, 0x90BF1D91, 0x1DB71064, 0x6AB020F2, 0xF3B97148, 0x84BE41DE, 0x1ADAD47D,
  56. 0x6DDDE4EB, 0xF4D4B551, 0x83D385C7, 0x136C9856, 0x646BA8C0, 0xFD62F97A, 0x8A65C9EC,
  57. 0x14015C4F, 0x63066CD9, 0xFA0F3D63, 0x8D080DF5, 0x3B6E20C8, 0x4C69105E, 0xD56041E4,
  58. 0xA2677172, 0x3C03E4D1, 0x4B04D447, 0xD20D85FD, 0xA50AB56B, 0x35B5A8FA, 0x42B2986C,
  59. 0xDBBBC9D6, 0xACBCF940, 0x32D86CE3, 0x45DF5C75, 0xDCD60DCF, 0xABD13D59, 0x26D930AC,
  60. 0x51DE003A, 0xC8D75180, 0xBFD06116, 0x21B4F4B5, 0x56B3C423, 0xCFBA9599, 0xB8BDA50F,
  61. 0x2802B89E, 0x5F058808, 0xC60CD9B2, 0xB10BE924, 0x2F6F7C87, 0x58684C11, 0xC1611DAB,
  62. 0xB6662D3D, 0x76DC4190, 0x01DB7106, 0x98D220BC, 0xEFD5102A, 0x71B18589, 0x06B6B51F,
  63. 0x9FBFE4A5, 0xE8B8D433, 0x7807C9A2, 0x0F00F934, 0x9609A88E, 0xE10E9818, 0x7F6A0DBB,
  64. 0x086D3D2D, 0x91646C97, 0xE6635C01, 0x6B6B51F4, 0x1C6C6162, 0x856530D8, 0xF262004E,
  65. 0x6C0695ED, 0x1B01A57B, 0x8208F4C1, 0xF50FC457, 0x65B0D9C6, 0x12B7E950, 0x8BBEB8EA,
  66. 0xFCB9887C, 0x62DD1DDF, 0x15DA2D49, 0x8CD37CF3, 0xFBD44C65, 0x4DB26158, 0x3AB551CE,
  67. 0xA3BC0074, 0xD4BB30E2, 0x4ADFA541, 0x3DD895D7, 0xA4D1C46D, 0xD3D6F4FB, 0x4369E96A,
  68. 0x346ED9FC, 0xAD678846, 0xDA60B8D0, 0x44042D73, 0x33031DE5, 0xAA0A4C5F, 0xDD0D7CC9,
  69. 0x5005713C, 0x270241AA, 0xBE0B1010, 0xC90C2086, 0x5768B525, 0x206F85B3, 0xB966D409,
  70. 0xCE61E49F, 0x5EDEF90E, 0x29D9C998, 0xB0D09822, 0xC7D7A8B4, 0x59B33D17, 0x2EB40D81,
  71. 0xB7BD5C3B, 0xC0BA6CAD, 0xEDB88320, 0x9ABFB3B6, 0x03B6E20C, 0x74B1D29A, 0xEAD54739,
  72. 0x9DD277AF, 0x04DB2615, 0x73DC1683, 0xE3630B12, 0x94643B84, 0x0D6D6A3E, 0x7A6A5AA8,
  73. 0xE40ECF0B, 0x9309FF9D, 0x0A00AE27, 0x7D079EB1, 0xF00F9344, 0x8708A3D2, 0x1E01F268,
  74. 0x6906C2FE, 0xF762575D, 0x806567CB, 0x196C3671, 0x6E6B06E7, 0xFED41B76, 0x89D32BE0,
  75. 0x10DA7A5A, 0x67DD4ACC, 0xF9B9DF6F, 0x8EBEEFF9, 0x17B7BE43, 0x60B08ED5, 0xD6D6A3E8,
  76. 0xA1D1937E, 0x38D8C2C4, 0x4FDFF252, 0xD1BB67F1, 0xA6BC5767, 0x3FB506DD, 0x48B2364B,
  77. 0xD80D2BDA, 0xAF0A1B4C, 0x36034AF6, 0x41047A60, 0xDF60EFC3, 0xA867DF55, 0x316E8EEF,
  78. 0x4669BE79, 0xCB61B38C, 0xBC66831A, 0x256FD2A0, 0x5268E236, 0xCC0C7795, 0xBB0B4703,
  79. 0x220216B9, 0x5505262F, 0xC5BA3BBE, 0xB2BD0B28, 0x2BB45A92, 0x5CB36A04, 0xC2D7FFA7,
  80. 0xB5D0CF31, 0x2CD99E8B, 0x5BDEAE1D, 0x9B64C2B0, 0xEC63F226, 0x756AA39C, 0x026D930A,
  81. 0x9C0906A9, 0xEB0E363F, 0x72076785, 0x05005713, 0x95BF4A82, 0xE2B87A14, 0x7BB12BAE,
  82. 0x0CB61B38, 0x92D28E9B, 0xE5D5BE0D, 0x7CDCEFB7, 0x0BDBDF21, 0x86D3D2D4, 0xF1D4E242,
  83. 0x68DDB3F8, 0x1FDA836E, 0x81BE16CD, 0xF6B9265B, 0x6FB077E1, 0x18B74777, 0x88085AE6,
  84. 0xFF0F6A70, 0x66063BCA, 0x11010B5C, 0x8F659EFF, 0xF862AE69, 0x616BFFD3, 0x166CCF45,
  85. 0xA00AE278, 0xD70DD2EE, 0x4E048354, 0x3903B3C2, 0xA7672661, 0xD06016F7, 0x4969474D,
  86. 0x3E6E77DB, 0xAED16A4A, 0xD9D65ADC, 0x40DF0B66, 0x37D83BF0, 0xA9BCAE53, 0xDEBB9EC5,
  87. 0x47B2CF7F, 0x30B5FFE9, 0xBDBDF21C, 0xCABAC28A, 0x53B39330, 0x24B4A3A6, 0xBAD03605,
  88. 0xCDD70693, 0x54DE5729, 0x23D967BF, 0xB3667A2E, 0xC4614AB8, 0x5D681B02, 0x2A6F2B94,
  89. 0xB40BBE37, 0xC30C8EA1, 0x5A05DF1B, 0x2D02EF8D,
  90. )
  91. return (crc >> 8) ^ crcTable[(crc ^ data) & 0xFF]
  92. # Derived from AVR-libc:
  93. def crc16(crc, data):
  94. crc ^= data
  95. for i in range(8):
  96. if crc & 1:
  97. crc = (crc >> 1) ^ 0xA001
  98. else:
  99. crc = (crc >> 1)
  100. return crc
  101. # Derived from AVR-libc:
  102. def crc16_ccitt(crc, data):
  103. data ^= crc & 0xFF
  104. data = (data ^ (data << 4)) & 0xFF
  105. return ((((data << 8) & 0xFFFF) | (crc >> 8)) ^
  106. (data >> 4) ^
  107. ((data << 3) & 0xFFFF))
  108. def crc16_ccitt_reversed(crc, data):
  109. return bitreverse(crc16_ccitt(bitreverse(crc, 16),
  110. bitreverse(data, 8)),
  111. 16)
  112. # Derived from AVR-libc:
  113. def crc16_xmodem(crc, data):
  114. crc ^= (data << 8)
  115. for i in range(8):
  116. if crc & 0x8000:
  117. crc = ((crc << 1) ^ 0x1021) & 0xFFFF
  118. else:
  119. crc = (crc << 1) & 0xFFFF
  120. return crc
  121. # Derived from AVR-libc:
  122. def crc8_ibutton(crc, data):
  123. crc ^= data
  124. for i in range(8):
  125. if crc & 1:
  126. crc = (crc >> 1) ^ 0x8C
  127. else:
  128. crc = (crc >> 1)
  129. return crc
  130. # Derived from AVR-libc:
  131. def crc8_ccitt(crc, data):
  132. crc ^= data
  133. for i in range(8):
  134. if crc & 0x80:
  135. crc = ((crc << 1) ^ 0x07) & 0xFF
  136. else:
  137. crc = (crc << 1) & 0xFF
  138. return crc
  139. def crc6_itu(crc, data):
  140. for i in range(8):
  141. crc ^= (data & 0x80) >> 2
  142. data <<= 1
  143. if crc & 0x20:
  144. crc = ((crc << 1) ^ 0x03) & 0x3F
  145. else:
  146. crc = (crc << 1) & 0x3F
  147. return crc
  148. def crcRange(nrBits):
  149. rng = random.Random()
  150. rng.seed(42)
  151. mask = (1 << nrBits) - 1
  152. for i in range(0x300):
  153. if i == 0:
  154. crc = 0
  155. elif i == 1:
  156. crc = mask
  157. else:
  158. crc = rng.randint(1, mask - 1)
  159. yield crc
  160. def dataRange():
  161. yield from (0x00, 0xAA, 0x55, 0xFF,
  162. 0x3E, 0x92, 0x0A, 0x7D, 0x4E, 0x07, 0x23, 0xDD,
  163. 0x4C, 0xE4, 0x1E, 0x8B, 0x5C, 0xD8, 0x1F, 0x74)
  164. def compareReferenceImpl(name, crcFunc):
  165. print("Testing %s..." % name)
  166. crcParameters = CRC_PARAMETERS[name]
  167. for crc in crcRange(crcParameters["nrBits"]):
  168. for data in dataRange():
  169. for i in range(5): # Run a couple of iterations.
  170. a = crcFunc(crc, data)
  171. b = CrcReference.crc(crc=crc,
  172. data=data,
  173. polynomial=crcParameters["polynomial"],
  174. nrCrcBits=crcParameters["nrBits"],
  175. shiftRight=crcParameters["shiftRight"])
  176. if a != b:
  177. raise Exception("%s test FAILED!" % name)
  178. crc = a
  179. data = (data + 1) & 0xFF
  180. def checkReferenceReversed(nrCrcBits, polynomial):
  181. print(f"Testing CrcReference reversed ({nrCrcBits=}, P={polynomial:X})...")
  182. for shiftRight in (True, False):
  183. for crc in crcRange(nrCrcBits):
  184. for data in dataRange():
  185. a = CrcReference.crc(
  186. crc=crc,
  187. data=data,
  188. polynomial=polynomial,
  189. nrCrcBits=nrCrcBits,
  190. shiftRight=shiftRight)
  191. b = bitreverse(CrcReference.crc(
  192. crc=bitreverse(crc, nrCrcBits),
  193. data=bitreverse(data, 8),
  194. polynomial=bitreverse(polynomial, nrCrcBits),
  195. nrCrcBits=nrCrcBits,
  196. shiftRight=not shiftRight),
  197. nrCrcBits)
  198. if a != b:
  199. raise Exception("CrcReference reversed test "
  200. "FAILED! (nrCrcBits=%d, P=%X)" % (
  201. nrCrcBits, polynomial))
  202. def checkReferenceNrDataBits(nrCrcBits, polynomial):
  203. print(f"Testing CrcReference with different data word length "
  204. f"({nrCrcBits=}, P={polynomial:X})...")
  205. data = bytes(dataRange())
  206. for littleEndian in (False, True):
  207. refCrc = CrcReference.crcBlock(crc=0,
  208. data=data,
  209. polynomial=polynomial,
  210. nrCrcBits=nrCrcBits,
  211. nrDataBits=8,
  212. shiftRight=littleEndian)
  213. crc_data16 = 0
  214. for i in range(0, len(data), 2):
  215. if littleEndian:
  216. word = data[i] | (data[i + 1] << 8)
  217. else:
  218. word = data[i + 1] | (data[i] << 8)
  219. crc_data16 = CrcReference.crc(
  220. crc=crc_data16,
  221. data=word,
  222. polynomial=polynomial,
  223. nrCrcBits=nrCrcBits,
  224. nrDataBits=16,
  225. shiftRight=littleEndian)
  226. if refCrc != crc_data16:
  227. raise Exception(f"CrcRefernce 16 bit word test FAILED! "
  228. f"({nrCrcBits=}, P={polynomial:X})")
  229. crc_data32 = 0
  230. for i in range(0, len(data), 4):
  231. if littleEndian:
  232. word = (data[i] | (data[i + 1] << 8) |
  233. (data[i + 2] << 16) | (data[i + 3] << 24))
  234. else:
  235. word = (data[i + 3] | (data[i + 2] << 8) |
  236. (data[i + 1] << 16) | (data[i] << 24))
  237. crc_data32 = CrcReference.crc(
  238. crc=crc_data32,
  239. data=word,
  240. polynomial=polynomial,
  241. nrCrcBits=nrCrcBits,
  242. nrDataBits=32,
  243. shiftRight=littleEndian)
  244. if refCrc != crc_data32:
  245. raise Exception(f"CrcRefernce 32 bit word test FAILED! "
  246. f"({nrCrcBits=}, P={polynomial:X})")
  247. def compareGeneratedImpl(optimize, alg, crcParameters, quick):
  248. if quick == "quick":
  249. dataBitsRange = (8, 16)
  250. else:
  251. dataBitsRange = (8, 16, 24, 32, 33, 1)
  252. for nrDataBits in dataBitsRange:
  253. gen = CrcGenTest(P=crcParameters["polynomial"],
  254. nrCrcBits=crcParameters["nrBits"],
  255. nrDataBits=nrDataBits,
  256. shiftRight=crcParameters["shiftRight"],
  257. optimize=optimize)
  258. gen.runTests(name=alg, extra=("-O=%d" % optimize))
  259. if __name__ == "__main__":
  260. assert bitreverse(0xE0, 8) == 0x07
  261. assert bitreverse(0x8408, 16) == 0x1021
  262. assert bitreverse(0xEDB88320, 32) == 0x04C11DB7
  263. print("*** Testing polynomial coefficient conversion ***")
  264. for poly, polyString, nrBits, shiftRight in (
  265. (0xC96C5795D7870F42,
  266. "x^64 + x^62 + x^57 + x^55 + x^54 + x^53 + x^52 + x^47 + "
  267. "x^46 + x^45 + x^40 + x^39 + x^38 + x^37 + x^35 + x^33 + "
  268. "x^32 + x^31 + x^29 + x^27 + x^24 + x^23 + x^22 + x^21 + "
  269. "x^19 + x^17 + x^13 + x^12 + x^10 + x^9 + x^7 + x^4 + x + 1",
  270. 64, True),
  271. (0xEDB88320,
  272. "x^32 + x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + "
  273. "x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x + 1",
  274. 32, True),
  275. (0xa001,
  276. "x^16 + x^15 + x^2 + 1",
  277. 16, True),
  278. (0x1021,
  279. "x^16 + x^12 + x^5 + 1",
  280. 16, False),
  281. (0x8408,
  282. "x^16 + x^12 + x^5 + 1",
  283. 16, True),
  284. (0x8C,
  285. "x^8 + x^5 + x^4 + 1",
  286. 8, True),
  287. (0xE0,
  288. "x^8 + x^2 + x + 1",
  289. 8, True),
  290. (0x07,
  291. "x^8 + x^2 + x + 1",
  292. 8, False),
  293. (0x03,
  294. "x^3 + x + 1",
  295. 3, False),
  296. (0x01,
  297. "x^3 + 1",
  298. 3, False),
  299. (0x02,
  300. "x^3 + x",
  301. 3, False),
  302. ):
  303. print("Testing %s..." % polyString)
  304. if poly2int(polyString, nrBits, shiftRight) != poly:
  305. raise Exception("Polynomial '%s' != 0x%X" % (polyString, poly))
  306. if int2poly(poly, nrBits, shiftRight) != polyString:
  307. raise Exception("Polynomial 0x%X != '%s'" % (poly, polyString))
  308. print("*** Comparing reference implementation to itself reversed ***")
  309. params = (
  310. (32, 0xEDB88320),
  311. (16, 0xA001),
  312. (16, 0x1021),
  313. (8, 0x07),
  314. (8, 0x8C),
  315. )
  316. with multiprocessing.Pool() as p:
  317. p.starmap(checkReferenceReversed, params)
  318. print("*** Comparing reference implementation to itself with different data word length ***")
  319. params = (
  320. (32, 0xEDB88320),
  321. (16, 0xA001),
  322. (16, 0x1021),
  323. (8, 0x07),
  324. (8, 0x8C),
  325. )
  326. with multiprocessing.Pool() as p:
  327. p.starmap(checkReferenceNrDataBits, params)
  328. print("*** Comparing reference implementation to discrete implementations ***")
  329. params = (
  330. ("CRC-32", crc32),
  331. ("CRC-16", crc16),
  332. ("CRC-16-CCITT", crc16_ccitt_reversed),
  333. ("CRC-16-CCITT", crc16_xmodem),
  334. ("CRC-8-CCITT", crc8_ccitt),
  335. ("CRC-8-IBUTTON", crc8_ibutton),
  336. ("CRC-6-ITU", crc6_itu),
  337. )
  338. with multiprocessing.Pool() as p:
  339. p.starmap(compareReferenceImpl, params)
  340. def makeParams(allOptPermut, quick="not_quick"):
  341. if allOptPermut:
  342. for optimize in reversed(range(1 << CrcGen.OPT_ALL.bit_length())):
  343. yield optimize, "CRC-16", CRC_PARAMETERS["CRC-16"], quick
  344. else:
  345. for alg, crcParameters in CRC_PARAMETERS.items():
  346. yield CrcGen.OPT_ALL, alg, crcParameters, quick
  347. print("*** Comparing generated CRC functions "
  348. "to reference implementation (with all optimization option permutations)***")
  349. with multiprocessing.Pool() as p:
  350. p.starmap(compareGeneratedImpl, tuple(makeParams(allOptPermut=True, quick="quick")))
  351. print("*** Comparing all generated CRC functions "
  352. "to reference implementation (with full optimization)***")
  353. with multiprocessing.Pool() as p:
  354. p.starmap(compareGeneratedImpl, tuple(makeParams(allOptPermut=False)))